Login
升级VIP 登录 注册 安全退出
当前位置: 首页 > word文档 > 其他文档 > 交通信号灯程序,交通信号灯程序流程图

交通信号灯程序,交通信号灯程序流程图

收藏

本作品内容为交通信号灯程序,格式为 doc ,大小 33792 KB ,页数为 4页

交通信号灯程序


("一、任务与要求设计一个十字路口的红、绿、黄三色信号交通灯控制电路,具体要求如下:1)用红、绿、黄三色发光二极管作信号灯。主干道为东西向,有红、绿、黄三个灯;支干道为南北向,也有红、绿、黄三个灯。红灯亮禁止通行;绿灯亮允许通行;黄灯亮则给行驶中的车辆有时间停靠到禁行线之外;2)由于主干道车辆较多而支干道车辆较少,所以主干道绿灯时间较长。当主干道允许通行亮绿灯时,支干道亮红灯。而支干道允许通行亮绿灯时,主干道亮红灯,两者交替重复。主干道每次放行50秒,支干道每次放行30秒;在每次由亮绿灯变成亮红灯的转换过程中间,需要亮5秒的黄灯作为过渡,以使行驶中的车辆有时间停靠到禁行线以外。3)能实现正常的、即时显示功能。用DE2上的四个七段数码管作为倒计时显示器。分别显示东西、南北方向的红灯、绿灯、黄灯时间;4)能实现特殊状态的功能显示。设S为特殊状态的传感器信号,当S=1时,进入特殊状态。当S=0时,退出特殊状态。按S后,能实现特殊状态功能:(1)显示器闪烁;(2)计数器停止计数并保持在原来的数据;(3)东西、南北路口均显示红灯状态;(4)特殊状态结束后,能继续对时间进行计数。5)能实现总体清零功能。按下清零键后,系统实现总清零,计数器由初始状态开始计数,对应状态的指示灯亮。二,设计说明在正常情况下,支路车较少,主路车多,所以设计的交通灯控制要求,在支路无车时,保证主路绿灯亮;而在支路有车时,主路和支路的绿灯交替亮。控制器结构如下图所示。下面是端口说明:Clk:输入,系统输入时钟;reset:输入,是复位信号,高电平有效;sensor:输入,为传感器信号,是高电平有效;flash:输出,转换期间的闪烁信号;reda:输出,主干道路口显示红灯信号,高电平有效;greena:输出,主干道路口显示绿灯信号,高电平有效;yellowa:输出,主干道路口显示黄灯信号,高电平有效;redb:输出,支干道路口显示红灯信号,高电平有效;greenb:输出,支干道路口显示绿灯信号,高电平有效;yellowb:输出,支干道路口显示黄灯信号,高电平有效;三、实验设计程序libraryieee;useieee.std_logic_1164.all;useieee.std_logic_unsigned.all;entitycontrollerisport(clock:instd_logic;reset:instd_logic;sensor:instd_logic;flash:outstd_logic;numa,numb:outintegerrange0to90;reda,greena,yellowa:outstd_logic;redb,greenb,yellowb:outstd_logic);end;architecturecontrolofcontrollerissignalcountnum:integerrange0to90;beginprocess(clock)beginifreset='1'then---复位信号,将记数器清零countnum<=0;elsifrising_edge(clock)thenifsensor='1'thenflash<='1';elseflash<='0';ifcountnum=89then----记数到90秒时,记数器清零countnum<=0;elsecountnum<=countnum+1;---正常记数,计脉冲上升沿endif;endif;endif;endprocess;process(clock)beginifrising_edge(clock)thenifsensor='1'then---sensor信号有效期间,两组路灯都为红灯reda<='1';redb<='1';greena<='0';greenb<='0';yellowa<='0';yellowb<='0';else---sensor无效期间,系统行为:按照一个记数周期时间(90秒)描述动作ifcountnum<=49then---前50秒,主干道的绿灯亮numa<=50-countnum;reda<='0';greena<='1';yellowa<='0';elsif(countnum<=54)then---51-55秒,主干道的黄灯亮numa<=55-countnum;reda<='0';greena<='0';yellowa<='1';elsenuma<=90-countnum;---56-90秒,主干道的红灯亮reda<='1';greena<='0';yellowa<='0';endif;ifcountnum<=54then---0-55秒(主干道为绿,黄灯期间)支干道的红灯亮numb<=55-countnum;redb<='1';greenb<='0';yellowb<='0';elsifcountnum<=84then---56-85秒,支干道为绿灯numb<=85-countnum;redb<='0';greenb<='1';yellowb<='0';elsenumb<=90-countnum;---86-90秒,支干道为黄灯redb<='0';greenb<='0';yellowb<='1';endif;endif;endif;endprocess;end;",)


  • 编号:1700742220
  • 分类:其他文档
  • 软件: wps,office word
  • 大小:4页
  • 格式:docx
  • 风格:商务
  • PPT页数:33792 KB
  • 标签:

广告位推荐

相关其他文档更多>