Login
升级VIP 登录 注册 安全退出
当前位置: 首页 > word文档 > 其他文档 > EDA课设(步进电机),单片机课设步进电机的控制

EDA课设(步进电机),单片机课设步进电机的控制

收藏

本作品内容为EDA课设(步进电机),格式为 doc ,大小 168960 KB ,页数为 16页

EDA课设(步进电机)


('《EDA技术及应用》课程设计报告题目:简单步进电机控制系统设计院(系):机电与自动化学院专业班级:自动化1104学生姓名:张凯学号:20111184144指导教师:段丽娜2016年6月15日至2014年6月20日华中科技大学武昌分校制《EDA技术及应用》课程设计任务书一、设计题目简单步进电机控制系统设计二、设计主要内容(1)控制要求本课程设计要求对三相四线制的步进电机进行控制,要求编写程序完成步进电机的转速及专向的控制,能够实现正转的三档转速控制及反转的三档速度控制。①根据控制要求,编写VHDL程序实现简单控制功能;②在电机运转过程中,能够实现简单的速度控制,速度控制分三档:慢,快;转速在程序中设定,由按键控制;此外还要求由一个按键实现转向控制;③主控芯片由于功耗要求,其输出电流较小,驱动能力不够,因此必须设计驱动电路驱动步进电机的旋转。(2)设计要求①设计FPGA的基础工作电路②设计直流电机,驱动电路芯片及FPGA芯片的硬件电路连接③设计输入控制部分硬件电路④程序下载并进行硬件调试(3)撰写说明书①含系统硬件设计电路②含软件设计(程序及必要的说明)③含软件仿真及生成的顶层电路④调试及调试结果,以及在调试过程中出现的问题及解决办法三、原始资料(1)三相四线制步进电机的驱动原理为当不同绕组一次轮流接通驱动电路时,会产生磁场从而带动步进电机旋转一个步次,当改变绕组接通次序则会改变其转向,当改变绕组接通频率则可改变其运行速度。(2)本系统的主要控制目标是转速和转向,通过输入按键接通与否可以判定其控制目标。因此控制转向需一个按键,而控制速度则需两个按键。(3)EP3C10E144C8芯片只能输出3.3V电压,其驱动能力有限,因此必须有外接电路为直流电机提供足够的驱动电流。驱动电机运行驱动电路根据输入信号产生步进电机的控制数据控制信号CLK四、要求的设计成果在QuartusII软件中新建原理图文件,编译,仿真,锁定管脚并下载到目标芯片。(1)根据设计要求,设计系统的原理框图,说明系统中各主要组成部分的功能;(2)在QuartusII软件中,编写各个模块VHDL源程序;并上机调试通过;(3)根据软件编好用于系统仿真的测试文件;(4)编好用于硬件验证的管脚锁定文件;(5)记录系统各个模块仿真结果;(6)记录调试过程中出现的问题及解决办法。注意:基本要求学生必须完成,学有余力的学生可以在基本要求完成的前提下,选择其它方案完成设计。一般来说,生成的硬件电路越简单考查评价就越高。五、进程安排序号课程设计内容学时分配备注1集中学生学习课程设计的关键理论知识、分配设计任务、明确设计要求、查找资料等。0.5天2根据任务的要求进行方案构思,初选方案,绘制系统原理框图并与指导教师讨论,方案定稿。0.5天3完成各模块的VHDL程序设计、编译和时序仿真2天4编程、下载,结合硬件平台,进行调试。完成顶层文件图绘制,对编制好的文件交给老师检查,并按照老师要求修改。1天5撰写课程设计说明书0.5天6答辩及验收课程设计0.5天合计5天六、主要参考资料[1]侯伯享.VHDL硬件描述语言与数字逻辑电路设计.西安:西安电子科技大学出版,2010[2]潘松.EDA技术实用教程.成都:电子科技大学出版社,2010[3]李玉山.电子系统集成设计技术.北京:电子工业出版社,2010.6.[4]李国丽.EDA与数字系统设计.北京:机械工业出版社,2009[5]周彩宝.VHDL语言及其应用.上海:华东计算机技术研究所:2009[6]谭会生.EDA技术中和应用实例与分析.西安:西安电子科技大学出版社,2008指导教师(签名):20年月日目录1课程设计要求及目的……………………………………………………………………11.1课程设计任务…………………………………………………………………………11.2课程设计要求…………………………………………………………………………11.3课程设计目的…………………………………………………………………………12课程设计主要内容………………………………………………………………………12.1系统硬件原理图……………………………………………………………………12.2系统硬件接线图…………………………………………………………………82.3三相四线制异步电机…………………………………………………………………82.4驱动电路………………………………………………………………………………92.5软件设计………………………………………………………………………………92.5.1源程序…………………………………………………………………………92.5.2功能仿真图…………………………………………………………………………102.5.3电路仿真图…………………………………………………………………………103调试结果及分析…………………………………………………………………………234课程设计总结……………………………………………………………………………245参考文献…………………………………………………………………………………251课程设计要求及目的1.1课程设计任务用EDA技术对三相四线制步进电机进行控制。1.2课程设计要求①本课程设计要求对三相四线制的步进电机进行控制,要求编写程序完成步进电机的转速及专向的控制,能够实现正转的三档转速控制及反转的三档速度控制;②在电机运转过程中,能够实现简单的速度控制,速度控制分三档:慢,快;转速在程序中设定,由按键控制;此外还要求由一个按键实现转向控制;③主控芯片由于功耗要求,其输出电流较小,驱动能力不够,因此必须设计驱动电路驱动步进电机的旋转。1.3课程设计目的了解三相四线制步进电机工作原理;熟练使用VHDL语言编程。2课程设计主要内容2.1系统硬件原理图系统原理图如图2-1所示:图2-1系统原理图2.2系统硬件接线图系统硬件接线图如图2.2所示:FPGA芯片步进电机2003StepmotorGNDVcc图2-2系统硬件接线图2.3三相四线制步进电机三相四线制步进电机的驱动原理为当不同绕组一次轮流接通驱动电路时,会产生磁场从而带动步进电机旋转一个步次,当改变绕组接通次序则会改变其转向,当改变绕组接通频率则可改变其运行速度。在这里,我采用单四拍控制。通过一个按键来控制电机的正反转,两个按键来控制电机的调速。三相四线制步进电机的单四拍正反转数字控制模型如表一、表二所示:表一单四拍正转数字控制模型步序D相C相B相A相字模PB3PB2PB1PB01000101H2001002H3010004H4100008H表二单四拍反转数字控制模型2003IOIOIOIO步序D相C相B相A相字模PB3PB2PB1PB01100008H2010004H3001002H4000101H2.4驱动电路EP3C10E144C8芯片只能输出3.3V电压,其驱动能力有限,因此必须有外接电路为步进电机提供足够的驱动电流。驱动芯片选择ULN2003。ULN2003是高耐压、大电流达林顿陈列,由七个硅NPN达林顿管组成。该电路的特点如下:ULN2003的每一对达林顿都串联一个2.7K的基极电阻,在5V的工作电压下它能与TTL和CMOS电路直接相连,可以直接处理原先需要标准逻辑缓冲器。,具有电流增益高、工作电压高、温度范围宽、带负载能力强等特点,适应于各类要求高速大功率驱动的系统。ULN引脚如图2-3::图2-3ULN引脚图2.5软件设计2.5.1源程序libraryieee;useieee.std_logic_1164.all;useieee.std_logic_unsigned.all;entitystepisport(clk,rst:instd_logic;--状态机工作时钟和复位信号a:instd_logic;--转向开关KEY:instd_logic_vector(1DOWNTO0);--调速开关y:outstd_logic_vector(3downto0));endstep;architecturebhvofstepistypestatesis(s0,s1,s2,s3);--数据类型定义,定义状态符号signalc_st,next_st:states;--将现态和次态定义为新的数据类型statessignalclkns:std_logic;signalq:integerrange0to65535;beginreg:process(clk)--主控时序进程variablen:integerrange0to65535;beginifrst=\'1\'thenc_st<=s0;--检测异步复位信号,复位信号后回到初态s0elsifclk\'eventandclk=\'1\'thenif(qy<="0001";next_st<=s1;--进入状态s0后输出0001whens1=>y<="0010";next_st<=s2;whens2=>y<="0100";next_st<=s3;whens3=>y<="1000";next_st<=s0;whenothers=>next_st<=s0;endcase;elsif(a=\'0\')thencase(c_st)is--反转whens0=>y<="1000";next_st<=s1;--进入状态s0后输出1000whens1=>y<="0100";next_st<=s2;whens2=>y<="0010";next_st<=s3;whens3=>y<="0001";next_st<=s0;whenothers=>next_st<=s0;endcase;endif;endprocess;endbhv;2.3.2功能仿真图功能仿真如图2-4所示:图2-4功能仿真图2.3.3仿真电路图软件仿真电路如图2.5所示:clkLessThan0:OUTresets0s1s2s3+A[15..0]B[15..0]ADDER=A[1..0]B[1..0]EQUAL=A[1..0]B[1..0]EQUAL


  • 编号:1700754979
  • 分类:其他文档
  • 软件: wps,office word
  • 大小:16页
  • 格式:docx
  • 风格:商务
  • PPT页数:168960 KB
  • 标签:

广告位推荐

相关其他文档更多>